Parallax Inc. - 32314

KEY Part #: K7226567

[5370buc Stoc]


    Numărul piesei:
    32314
    Producător:
    Parallax Inc.
    Descriere detaliata:
    LOGIC ANALYZER 8CH 24MHZ.
    Timpul de livrare standard al producătorului:
    In stoc
    Termen de valabilitate:
    Un an
    Chip From:
    Hong Kong
    RoHS:
    Modalitate de plată:
    Mod de expediere:
    Categorii de familii:
    KEY Components Co., LTD este un distribuitor de componente electronice care oferă categorii de produse, inclusiv: Echipamente - Transformatoare variabile, Oportunități de încercare - Sonde pentru oscilosco, Oțel de testare - termocupluri, sonde de temperatu, Sfat pentru probele de testare, Echipamente - Testere electrice, sonde curente, Oportunități de testare - Interfață BNC, Echipament - multimetre and Oportunități de testare - Banană, interfață de măs ...
    Avantaj competitiv:
    We specialize in Parallax Inc. 32314 electronic components. 32314 can be shipped within 24 hours after order. If you have any demands for 32314, Please submit a Request for Quotation here or send us an email:
    GB-T-27922
    ISO-9001-2015
    ISO-13485
    ISO-14001
    ISO-28000-2007
    ISO-45001-2018

    32314 Atributele produsului

    Numărul piesei : 32314
    Producător : Parallax Inc.
    Descriere : LOGIC ANALYZER 8CH 24MHZ
    Serie : -
    Starea parțială : Obsolete
    Tipul de instrument : Logic Analyzer
    Pentru utilizare cu / Produse asemănătoare : PC Based Board Applications

    Poți fi, de asemenea, interesat
    • HMC410AMS8GETR

      Analog Devices Inc.

      IC MIXER HI IP3 DBL-BAL.

    • HMC423MS8ETR

      Analog Devices Inc.

      IC MIXER DBL-BAL LO AMP 8-MSOP.

    • AA006-00

      NVE Corp/Sensor Products

      SENSOR MR WHEAT BRDG 8MSOP.

    • S-8130AACFN-MAET2G

      ABLIC U.S.A. Inc.

      IC TEMP SWITCH W/LATCH 8-MSOP. Thermostats Temperature Switch

    • CPC1822N

      IXYS Integrated Circuits Division

      PHOTOVOLTAIC SOLAR CELL 4V 8SOIC.

    • ZMOT0BSB0B0CG

      Zilog

      ZMOTION BUNDLE W/NICERA 8SOIC.